1 - 10 |
Characterization of the feature-size dependence in Ar/Cl-2 chemically assisted ion beam etching of InP-based photonic crystal devices Berrier A, Mulot M, Anand S, Talneau A, Ferrini R, Houdre R |
11 - 16 |
Molecular beam epitaxial growth of indium antimonide and its characterization Pharn HT, Yoon SF, Boning D, Wicaksono S |
17 - 20 |
Control of micro- and nanopatterns of octadecyltrimethoxysilane monolayers using nanoimprint lithography and atmospheric chemical vapor deposition Ressier L, Martin C, Viallet B, Grisolia J, Peyrade JP |
21 - 28 |
Cryogenic etch process development for profile control of high aspect-ratio submicron silicon trenches Pruessner MW, Rabinovich WS, Stievater TH, Park D, Baldwin JW |
29 - 32 |
Surface treatments of SiGe for scanning tunneling microscopy/spectroscopy and characterization of SiGe p-n junction Okui T, Tanaka Y, Shiraki Y |
33 - 37 |
Low-stress silicon carbonitride for the machining of high-frequency nanomechanical resonators Fischer LM, Wilding N, Gel M, Evoy S |
38 - 42 |
Fringe field theory and experiment for electrostatic chucking of extreme ultraviolet photomasks Raghunathan S, Govindaraju L, Ruan J, Hartley JG |
43 - 46 |
Transport mechanisms and the effects of organic layer thickness on the performance of organic Schottky diodes Huang CY, Lin SY, Cheng SS, Chou ST, Yang CY, Ou TM, Wu MC, Chan IM, Chan YJ |
47 - 53 |
Simple theoretic approach to estimate the effect of gravity and thermophoresis on the diffusional nanoparticle contamination under low pressure conditions Asbach C, Fissan H, Kim JH, Yook SJ, Pui DYH |
54 - 57 |
Nanoscale optical imaging of pigment particles in paint with near-field scanning optical microscopy Clark B, Gurguis G, Hallen HD |
58 - 68 |
Remarkably efficient acid generation in chemically amplified resist from quantum chemistry modeling Granovsky AA, Bochenkova AV, Suetin N, Fryer D, Singh V |
69 - 73 |
Electrical, photoelectrical, and luminescent properties of doped p-type GaN superlattices Polyakov AY, Smirnov NB, Govorkov AV, Shcherbatchev KD, Bublik VT, Voronova MI, Dabiran AM, Osinsky AV, Pearton SJ |
74 - 81 |
Modeling of the integrated magnetic focusing and gated field-emission device with single carbon nanotube Chen PY, Hsu KH, Cheng KW, Hung CT, Wu JS, Cheng TC, Yu JP |
82 - 85 |
Analysis of interface trap states at Schottky diode by using equivalent circuit modeling Jun M, Jang M, Kim Y, Choi C, Kim T, Park B, Lee S |
86 - 90 |
Interface chemical characterization of novel W/HfO2/GeON/Ge stacks Martinez E, Renault O, Clavelier L, Le Royer C, Hartmann JM, Loup V, Minoret S, Cosnier V, Campidelli Y, Zegenhagen J, Lee TL |
91 - 95 |
Photon-beam lithography reaches 12.5 nm half-pitch resolution Solak HH, Ekinci Y, Kaser P, Park S |
96 - 101 |
Optimization and integration of trimethylsilane-based organosilicate glass and organofluorinated silicate glass dielectric thin films for Cu damascene process Cheng YL, Wang YL, Hwang GJ, Lee WH, O'Neill ML, Tang A, Wu CL |
L1 - L4 |
Metal contact formation by microdeposition of nondestructive particles from focused ion beam sputtering Shiue J, Kuo PC, Wang YL |
L5 - L9 |
Negative resist behavior of neutral sodium atoms deposited on self-assembled monolayers Ju S, Mills AK, Hang QL, Elliott DS, Janes DB |
102 - 105 |
Low temperature ZEP-520A development process for enhanced critical dimension realization in reactive ion etch etched polysilicon Wang H, Laws GM, Milicic S, Boland P, Handugan A, Pratt M, Eschrich T, Myhajlenko S, Allgair JA, Bunday B |
106 - 108 |
Fabrication of high-resolution multiwall carbon nanotube field-emission cathodes at room temperature Peng YT, Hu YZ, Wang H |
109 - 114 |
Modeling of field-assisted emission from a negative electron affinity cathode Mayer A, Chung MS, Kumar N, Weiss BL, Miskovsky NM, Cutler PH |
115 - 119 |
Fabrication of nanodot array molds for photonanoimprint using anodic porous alumina Kurashima Y, Yokota Y, Miyamoto I, Itatani T |
120 - 123 |
Simple model for dielectrophoretic alignment of gallium nitride nanowires Motayed A, He MQ, Davydov AV, Melngailis J, Mohammad SN |
124 - 129 |
45 nm node back end of the line yield evaluation on ultrahigh density interconnect structures using electron beam direct write lithography Icard B, Pain L, Arnal V, Manakli S, Le-Denmat JC, Brun P, Vincent M, Soonkindt C, Minghetti B, Matsumiya T |
130 - 139 |
Real-time sensing and metrology for atomic layer deposition processes and manufacturing Henn-Lecordier L, Lei W, Anderle M, Rubloff GW |
140 - 146 |
Optical properties of a multibeam column with a single-electron source Kamimura O, Tanimoto S, Ohta H, Nakayama Y, Sakakibara M, Sohda Y, Muraki M, Gotoh S, Hosoda M, Someda Y, Tamamori K, Hirose F, Nagae K, Kato K, Okunuki M |
147 - 151 |
Influence of oxygen diffusion on residual stress for tantalum thin films Cheng MH, Cheng TC, Huang WJ, Chang MN, Chung MK |
152 - 155 |
Novel Samsung advanced resist for thermal flow process material for nano-processing Choi SJ |
156 - 163 |
Study of plasma-induced damage of porous ultralow-k dielectric films during photoresist stripping Xu SL, Qin C, Diao L, Gilbert D, Hou L, Wiesnoski A, Busch E, McGowan R, White B, Weber F |
164 - 168 |
Critical impact of mask electromagnetic effects on optical proximity corrections performance for 45 nm and beyond Azpiroz JT, Rosenbluth AE, Lai K, Fonseca C, Yang D |
169 - 174 |
Effects of corona discharge ions on the synthesis of silver nanoparticles by a supersonic nozzle expansion method Jung JH, Park HH, Kim SS |
175 - 182 |
Influence of base additives on the reaction-diffusion front of model chemically amplified photoresists Vogt BD, Kang SH, Prabhu VM, Rao A, Lin EK, Wu WL, Satija SK, Turnquest K |
183 - 188 |
Influence of starting material on analog technology fabrication yield and device component performance Mahalingam P, Wu XJ, Knerr R, Patton Y, Khan I |
189 - 193 |
Ballistic recovery in III-V nanowire transistors Gilbert MJ, Banerjee SK |
194 - 198 |
Three dimension analysis of EXB mass separator Li WP, Han L, Gu WQ |
199 - 201 |
Effects of surface treatments on hexagonal InN films grown on sapphire substrates Cao L, Xie ZL, Liu B, Xiu XQ, Zhang R, Zheng YD |
202 - 207 |
Advance static random access memory soft fall analysis using nanoprobing and junction delineation transmission electron microscopy Chang WT, Hsieh TE, Zimmermann G, Wang L |
208 - 212 |
Orogenic movement mechanism for the formation of symmetrical relief features in copper nitride thin films Ji AL, Du Y, Li CR, Wang YQ, Cao ZX |
213 - 216 |
Ni/Au contacts on homoepitaxial p-ZnSe with surface oxygen plasma treatments Lin TK, Lam KT, Chang SJ, Chiou YZ, Chang SP |
217 - 223 |
Leakage current and charge trapping behavior in TiO2/SiO2 high-kappa gate dielectric stack on 4H-SIC substrate Mahapatra R, Chakraborty AK, Poolamai N, Horsfall A, Chattopadhyay S, Wright NG, Coleman KS, Coleman PG, Burrows CP |
224 - 228 |
Process dependence of the thermal conductivity of image reversal photoresist layers Hung MT, Ju YS |
229 - 234 |
Vold-free low-temperature silicon direct-bonding technique using plasma activation Ma XB, Liu WL, Song ZT, Li W, Lin CL |
235 - 241 |
Local line edge roughness in microphotonic devices: An electron-beam lithography study Ma YS, Cheng YC, Cerrina F, Barwicz T, Smith HI |
242 - 246 |
Scanning tunneling spectroscopy of single-strand deoxyribonucleic acid for sequencing Yoshida Y, Nojima Y, Tanaka H, Kawai T |
247 - 251 |
Multiple replication of three dimensional structures with undercuts Mollenbeck S, Bogdanski N, Wissen M, Scheer HC, Zajadacz J, Zimmer K |
252 - 257 |
Vapor phase deposition of oligo(phenylene ethynylene) molecules for use in molecular electronic devices Gergel-Hackett N, Cabral MJ, Pernell TL, Harriott LR, Bean JC, Chen B, Lu M, Tour JM |
258 - 263 |
Effect of surface roughness of the neutralization grid on the energy and flux of fast neutrals and residual ions extracted from a neutral beam source Ranjan A, Helmbrecht C, Donnelly VM, Economou DJ, Franz GF |
264 - 270 |
High-Q micromachined three-dimensional integrated inductors for high-frequency applications Weon DH, Jeon JH, Mohammadi S |
271 - 276 |
Damascene technique applied to surface acoustic wave devices Reitz D, Thomas J, Schmidt H, Menzel S, Wetzig K, Albert M, Bartha JW |
277 - 285 |
Depth resolution studies in SiGe delta-doped multilayers using ultralow-energy Cs+ secondary ion mass spectrometry Chanbasha AR, Wee ATS |
286 - 288 |
Self-aligned microtriode for plasma generation at atmospheric pressure Han SH, Kim YM |
289 - 291 |
Mesa structures of GaAs fabricated by nanoparticle mask under gas-cluster ion-beam irradiation Yamada S, Nagano M, Houzumi S, Toyoda N, Yamada I |
292 - 292 |
"Microfabrication of ultrahigh density wafer-level thin film compliant interconnects for through-silicon-via based chip stacks" (vol 24, pg 1780, 2006) Arunasalam P, Ackler HD, Sammakia BG |