1 - 1 |
Proceedings of the International Conference on Materials for Advanced Technologies (ICMAT 2005) Symposium H: Silicon Microelectronics: Processing to Packaging Singapore, July 3-8, 2005 - Preface Mhaisalkar SG, Yeo YC, Balasubramanian N, Lu TM, Zschech E |
2 - 6 |
Excimer laser annealing for shallow junction formation in SI power MOS devices Fortunato G, Privitera V, La Magna A, Mariucci L, Cuscuna M, Svensson BG, Monakhov E, Camalleri M, Magri A, Salinas D, Simon F |
7 - 10 |
Stressing effects on the charge trapping of silicon oxynitride prepared by thermal oxidation of LPCVD Si-rich silicon nitride Choi HY, Wong H, Filip V, Sen B, Kok CW, Chan M, Poon MC |
11 - 14 |
Study of pinholes in ultrathin SiO2 by C-AFM technique Marathe VG, Stefanov Y, Schwalke U, DasGupta N |
15 - 19 |
Performance evaluation of a newly developed electrolytic system for stable thinning of silicon wafers Islam MM, Kumar AS, Balakumar S, Lim HS, Rahman M |
20 - 24 |
Effect of Ti-rich TiN as a Co-salicide capping layer for 0.15 um embedded flash memory devices and beyond Kim NS, Mukhopadhyay M, Wong WY, You YS, Zhao J, Lim B, Lee KS, Shukla D, Goh IS |
25 - 27 |
Silicon nanocrystal-based non-volatile memory devices Ng CY, Chen TP, Sreeduth D, Chen Q, Ding L, Du A |
28 - 31 |
Metal-germanide Schottky source/drain transistor on germanium substrate for future CMOS technology Li R, Yao HB, Lee SJ, Chi DZ, Yu MB, Lo GQ, Kwong DL |
32 - 35 |
An electrical study of behaviors of Si nanocrystals distributed in the gate oxide near the oxide/substrate interface of a MOS structure Ng CY, Lum GS, Tan SC, Chen TP, Ding L, Tan OK, Du A |
36 - 40 |
Boron engineered dislocation loops for efficient room temperature silicon light emitting diodes Lourenco MA, Milosavljevic M, Shao G, Gwilliam RM, Homewood KP |
41 - 44 |
Coating process of ZnO thin film on macroporous silica periodic array Cheng YH, Teh LK, Tay YY, Park HS, Wong CC, Li S |
45 - 49 |
High-thermal-stability (HfO2)(1-x) (Al2O3)(x) film fabricated by dual-beam laser ablation Li Q, Wang SJ, Ng TH, Chim WK, Huan ACH, Ong CK |
50 - 54 |
Carbon nanotubes growing on rapid thermal annealed Ni and their application to a triode-type field emission device Uh HS, Park SS |
55 - 58 |
Enhancement in performance of poly-crystalline thin film transistors with gate dielectric and work-function Sehgal A, Mangla T, Chopra S, Gupta M, Gupta RS |
59 - 63 |
On the mobility, turn-on characteristics and activation energy of polycrystalline silicon thin-film transistors Gupta N, Tyagi BP |
64 - 68 |
A novel electrostatic microactuator for large deflections in MEMS applications Singh J, Agarwal A, Soundarapandian M |
69 - 72 |
Ge diffusion and solid phase epitaxy growth to form Si1-xGex/Si and Ge on insulator structure Gao F, Lee SJ, Balakumar S, Du AY, Foo YL, Kwong DL |
73 - 76 |
Determination of the valence band offset and minority carrier lifetime in Ge-rich layers on relaxed-SiGe Chakraborty S, Bera MK, Bhattacharya S, Bose PK, Maiti CK |
77 - 80 |
Localized germanium-on-insulator patterns on Si by novel etching scheme in CF4/O-2 plasma Murthy BR, Balasubramanian N, Balakumar S, Mukherjee-Roy M, Trigg A, Kumar R, Kwong DL |
81 - 85 |
Growth of high quality Er-Ge films on Ge(001) substrates by suppressing oxygen contamination during germanidation annealing Liew SL, Balakrisnan B, Chow SY, Lai MY, Wang WD, Lee KY, Ho CS, Osipowicz T, Chi DZ |
86 - 90 |
Effect of silicidation on the electrical characteristics of polycrystalline-SiGe Schottky diode Saha AR, Chattopadhyay S, Bose C, Maiti CK |
91 - 94 |
Erbium silicidation on SiGe for advanced MOS application Yiew QFD, Setiawan Y, Lee PS, Chi DZ |
95 - 100 |
A study of Si/SiGe selective epitaxial growth by experimental design approach Tan BL, Tan TL |
101 - 103 |
Effects of hollow cathode and Ar/H-2 ratio on plasma cleaning of Cu leadframe Hsieh JH, Li C |
104 - 107 |
Enhanced morphological stability of NiGe films formed using Ni(Zr) alloy Liew SL, Lee RTP, Lee KY, Balakrisnan B, Chow SY, Lai MY, Chi DZ |
108 - 112 |
Grain boundary structures of atomic layer deposited TiN Li S, Sun CQ, Park HS |
113 - 116 |
Characterization of chemically amplified resist for X-ray lithography by Fourier transform infrared spectroscopy Tan TL, Wong D, Lee P, Rawat RS, Springham S, Patran A |
117 - 120 |
Integrated process of photoresist trimming and dielectric hard mask etching for sub-50 nm gate patterning Bliznetsov V, Kumar R, Lin HZ, Ang KW, Yoo WJ, Du AY |
121 - 125 |
Molecular dynamics with phase-shift-based electronic stopping for calibration of ion implantation profiles in crystalline silicon Chan HY, Nordlund K, Gossmann HJL, Harris M, Montgomery NJ, Mulcahy CPA, Biswas S, Srinivasan MP, Benistant F, Ng CM, Chan L |
126 - 128 |
Effect of oxidation temperature on the quality and reliability of ultrathin gate oxide Marathe VG, Chandani N, DasGupta N |
129 - 131 |
Enhancement of minority-carrier lifetime by an advanced high temperature annealing method Pan H, Tong LY, Feng YP, Lin JY |
132 - 135 |
Defect suppression of indium end-of-range during solid phase epitaxy annealing using Si1-yCy in silicon Tan CF, Chor EF, Lee H, Liu J, Quek E, Chan L |
136 - 139 |
A method for reducing surface roughness during the thermal desorption of silicon Pun AF, Wang X, Durbin SM, Zheng JP |
140 - 144 |
Development of plasma etching process for sub-50 nm TaN gate Bliznetsov V, Kumar R, Bera LK, Yip LW, Du AY, Hui TE |
145 - 148 |
Thermal effects on LPCVD amorphous silicon Lai MZ, Lee PS, Agarwal A |
149 - 152 |
Electrical characterization of platinum and palladium effects in nickel monosilicide/n-Si Schottky contacts Jin LJ, Pey KL, Choi WK, Antoniadis DA, Fitzgerald EA, Chi DZ |
153 - 156 |
Effect of Ti alloying in nickel silicide formation Setiawan Y, Lee PS, Tan CW, Pey KL |
157 - 160 |
Effects of Si(001) surface amorphization on ErSi2 thin film Tan EJ, Kon ML, Pey KL, Lee PS, Zhang YW, Wang WD, Chi DZ |
161 - 165 |
The influence of temperature and dielectric materials on stress induced voiding in Cu dual damascene interconnects Gan ZG, Shao W, Mhaisalkar SG, Chen Z, Li HY |
166 - 169 |
Chemical mechanical polishing (CMP) mechanisms of thermal SiO2 film after high-temperature pad conditioning Kim NH, Ko PJ, Choi GW, Seo YJ, Lee WS |
170 - 173 |
Integration issues of high-k and metal gate into conventional CMOS technology Song SC, Zhang Z, Huffman C, Bae SH, Sim JH, Kirsch P, Majhi P, Moumen N, Lee BH |
174 - 177 |
Work function tuning of metal nitride electrodes for advanced CMOS devices Ren C, Faizhal BB, Chan DSH, Li MF, Yeo YC, Trigg AD, Balasubramanian N, Kwong DL |
178 - 182 |
Parameter extraction using novel phenomena in nano-MOSFETs with ultra-thin (EOT=0.46-1.93 nm) high-K gate dielectrics Kar S |
183 - 187 |
High frequency characterization and continuum modeling of ultrathin high-k (ZrO2) gate dielectrics on strained-Si Bera MK, Chakraborty S, Saha S, Paramanik D, Varma S, Bhattacharya S, Maiti CK |
188 - 191 |
Interface control in the laser MBE growth of hafnium oxide Lu YK, Zhu W, Chen XF, Gopalkrishnan R |
192 - 196 |
Material properties of interfacial silicate layer and its influence on the electrical characteristics of MOS devices using hafnia as the gate dielectric Wong H, Sen B, Filip V, Poon MC |
197 - 200 |
Micro structure-dependent band structure of HfO2 thin films Zheng YB, Wang SJ, Huan CHA |
201 - 204 |
Electrical properties of low temperature deposited amorphous barium titanate thin films as dielectrics for integrated capacitors El Kamel F, Gonon P, Jomni F |
205 - 208 |
Effects of the wet air on the properties of the lanthanum oxide and lanthanum aluminate thin films Jun JH, Choi DJ |
209 - 212 |
Simulation of trapping properties of high kappa material as the charge storage layer for flash memory application Yeo YN, Wang YQ, Samanta SK, Yoo WJ, Samudra G, Gao DY, Chong CC |
213 - 217 |
Effect of porosity and adhesion promoter layer on adhesion energy of nanoporous inorganic low-kappa Damayanti M, Gan ZH, Sritharan T, Mhaisalkar SG, Naman A, Widodo J, Tan HS |
218 - 222 |
Formation and characterization of Ti-Si-N-O barrier films Ee YC, Chen Z, Law SB, Xu S |
223 - 226 |
Characterization and reliability measurement issues in devices with novel gate stack devices Choi R, Young CD, Bersuker G, Lee BH, Zhao YG |
227 - 230 |
Characterization of copper chemical mechanical polishing (CMP) in nitric acid-hydrazine based slurry for microelectronic fabrication Sekhar MS, Ramanathan S |
231 - 234 |
The properties of Ru on Ta-based barriers Tan JJ, Qu XP, Xie Q, Zhou Y, Ru GP |
235 - 238 |
Ta/SiCN bilayer barrier for Cu-ultra low k integration Zhang DH, Yang LY, Li CY, Lu PW, Foo PD |
239 - 242 |
Dielectric barriers, pore sealing, and metallization Juneja JS, Wang PI, Karabacak T, Lu TM |
243 - 247 |
Characterization of spin-on-glass very-low-k polymethylsiloxane with copper metallization Aw KC, Salim NT, Gao W, Li Z |
248 - 251 |
Effect of plasma process on low-k material and barrier layer performance Chen XT, Gui D, Mo ZQ, Du AY, Chi DZ, Wang WD, Wang YH, Lu D, Tang LJ, Li WH, Wong LY |
252 - 256 |
Etching control of benzocyclobutene in CF4/O-2 and SF6/O-2 plasmas with thick photoresist and titanium masks Liao EB, Teh WH, Teoh KW, Tay AAO, Feng HH, Kumar R |
257 - 260 |
A method of fabricating metal-insulator-metal (MIM) capacitor in Cu/low-k backend interconnection process for RF application Yu MB, Ning J, Balakumar S, Bliznetsov VN, Lo GQ, Balasubramanian N, Kwong DL |
261 - 264 |
Chemical mechanical polishing of BTO thin film for vertical sidewall patterning of high-density memory capacitor Kim NH, Ko PJ, Seo YJ, Lee WS |
265 - 268 |
Comparative investigation of TaN and SiCN barrier layer for Cu/ultra low k integration Yang LY, Zhang DH, Li CY, Liu R, Lu PW, Foo PD, Wee ATS |
269 - 273 |
Continuum modeling of post-implantation damage and the effective plus factor in crystalline silicon at room temperature Chan HY, Srinivasan MP, Benistant F, Mok KR, Chan L, Jin HM |
274 - 278 |
Electromigration cu mass flow in cu interconnections Hu CK, Canaperi D, Chen ST, Gignac LM, Kaldor S, Krishnan M, Malhotra SG, Liniger E, Lloyd JR, Rath DL, Restaino D, Rosenberg R, Rubino J, Seo SC, Simon A, Smith S, Tseng WT |
279 - 283 |
Effect of interface modification on EM-induced degradation mechanisms in copper interconnects Zschech E, Meyer MA, Mhaisalkar SG, Vairagar AV, Krishnamoorthy A, Engelmann HJ, Sukharev V |
284 - 287 |
Effect of grain growth stress and stress gradient on stress-induced voiding in damascene Cu/low-k interconnects for ULSI Paik JM, Park IM, Joo YC |
288 - 293 |
Investigation of the effect of temperature and stress gradients on accelerated EM test for Cu narrow interconnects Tan CM, Roy A |
294 - 297 |
Electrochemical migration characteristics of eutectic SnPb solder alloy in printed circuit board Lee SB, Yoo YR, Jung JY, Park YB, Kim YS, Joo YC |
298 - 301 |
The effect of line width on stress-induced voiding in Cu dual damascene interconnects Shao W, Gan ZH, Mhaisalkar SG, Chen Z, Li HY |
302 - 306 |
Fowler-Nordheim and hot carrier reliabilities of U-shaped trench-gated transistors studied by three terminal charge pumping Passmore LJ, Sarpatwari K, Suliman SA, Awadelkarim OO, Ridley R, Dolny G, Michalowicz J, Wu CT |
307 - 311 |
Interface defect generation probed by low voltage stress induced leakage current Yu YJ, Guo Q, Zeng X, Li H, Liu SH, Zou SC |
312 - 316 |
Current transport and high-field reliability of aluminum/hafnium oxide/silicon structure Sen B, Wong H, Filip V, Choi HY, Sarkar CK, Chan M, Kok CW, Poon MC |
317 - 320 |
Study of gate leakage current in symmetric double gate MOSFETs with high-kappa/stacked dielectrics Nagaraju PV, DasGupta A |
321 - 324 |
Thermal fatigue as a possible failure mechanism in copper interconnects Park YB, Monig R, Volkert CA |
325 - 330 |
Vapor pressure and voiding effects on thin film damage Chew HB, Guo TF, Cheng L |
331 - 335 |
Time-temperature transformation (TTT) cure diagram of a fast cure non-conductive adhesive Yu H, Mhaisalkar SG, Wong EH, Khoo GY |
336 - 340 |
Fabrication of buried hybrid sol-gel optical waveguides by femtosecond laser direct writing Pani SK, Quiling Y, Wong CC, Low DKY, Zhang X, Iyer MK |
341 - 345 |
Misalignment of the optical fibers in multi-channel V-grooves Priyadarshi A, Fen LH, Asundi AK, Mhaisalkar SG, Ramana PV, Kripesh V |
346 - 349 |
Effects of Ca on grain boundary cohesion in Au ballbonding wire Chew YH, Wong CC, Breach CD, Wulff F, Lin TT, He CB |
350 - 354 |
Behavior of tin whisker formation and growth on lead-free solder finish Kim KS, Yu CH, Yang JM |
355 - 361 |
Numerical and experimental correlation of high temperature reliability of gold wire bonding to intermetallics (Au/Al) uniformity Zhang XR, Tee TY |
362 - 366 |
Nano-indentation characterization of Ni-Cu-SnIMC layer subject to isothermal aging Xu LH, Pang JHL |
367 - 370 |
Enhancing direct metal bonding with self-assembled monolayers Chin LC, Ang XF, Wei J, Chen Z, Wong CC |
371 - 378 |
Study on the microstructure and mechanical properties of a novel SWCNT-reinforced solder alloy for ultra-fine pitch applications Kumar KM, Kripesh V, Shen L, Tay AAO |
379 - 383 |
Temperature and pressure dependence in thermocompression gold stud bonding Ang XF, Zhang GG, Wei J, Chen Z, Wong CC |
384 - 390 |
Mechanical properties of UV-curable polyurethane acrylate used in packaging of MEMS devices Tey JN, Soutar AM, Mhaisalkar SG, Yu H, Hew KM |
391 - 396 |
Passive and heterogeneous integration towards a Si-based System-in-Package concept Roozeboom F, Kemmeren ALAM, Verhoeven JFC, van den Heuvel FC, Klootwijk J, Kretschman H, Fric T, van Grunsven ECE, Bardy S, Bunel C, Chevrie D, LeCornec F, Ledain S, Murray F, Philippe P |
397 - 400 |
Adhesion improvement of EMC-leadframe interface using brown oxide promoters Srikanth N, Chan L, Vath CJ |
401 - 404 |
Influence of ceramic reinforcements on the wettability and mechanical properties of novel lead-free solder composites Nai SML, Wei J, Gupta M |
405 - 409 |
Investigations of the test parameters and bump structures in the shear test of flip chip solder bump Kim JW, Kim DG, Jung SB |
410 - 415 |
Effect of Ni-P thickness on solid-state interfacial reactions between Sn-3.5Ag solder and electroless Ni-P metallization on Cu substrate Kumar A, Chen Z, Mhaisalkar SG, Wong CC, Teo PS, Kripesh V |
416 - 420 |
The effect of annealing on the morphologies and conductivities of sub-micrometer sized nickel particles used for electrically conductive adhesive Goh CF, Yu H, Yong SS, Mhaisalkar SG, Boey FY, Teo PS |
421 - 425 |
Effects of Sb addition on tensile strength of Sn-15Ag-0.7Cu solder alloy and joint Li GY, Chen BL, Shi XQ, Wong SCK, Wang ZF |
426 - 430 |
Evaluation of solder joint reliability in flip chip package under thermal shock test Kim DG, Kim JW, Jung SB |
431 - 435 |
Liquid and solid state interfacial reactions of Sn-Ag-Cu and Sn-In-Ag-Cu solders with Ni-P under bump metallization Sharif A, Chan YC |
436 - 440 |
Fluxless flip chip bonding with joint-in-via architecture Lee TK, Zhang S, Wong CC, Tan AC |
441 - 445 |
Interfacial microstructures and kinetics of Au/SnAgCu Lee TK, Zhang S, Wong CC, Tan AC, Hadikusuma D |