화학공학소재연구정보센터

Journal of Vacuum Science & Technology B

Journal of Vacuum Science & Technology B, Vol.16, No.6 Entire volume, number list
ISSN: 1071-1023 (Print) 

In this Issue (200 articles)

2915 - 2927 Electrical transport and far-infrared transmission in a quantum wire array
Lefebvre J, Beerens J, Feng Y, Wasilewski Z, Beauvais J, Lavallee E
2928 - 2933 Luminescence from erbium implanted silicon-germanium quantum wells
Huda MQ, Evans-Freeman JH, Peaker AR, Houghton DC, Nejim A
2934 - 2937 Optical absorption of Ag nanoclusters in Ag+-implanted c-SiO2
Feng XD, Tian MB, Liu ZX
2938 - 2941 Silicon nanopillars formed with gold colloidal particle masking
Lewis PA, Ahmed H, Sato T
2942 - 2946 Detection and control of ferroelectric domains by an electrostatic force microscope
Hong JW, Kahng DS, Shin JC, Kim HJ, Khim ZG
2947 - 2951 Atomistic study of nickel silicide structures on Si(100) by tunneling microscopy
One I, Yoshimura M, Ueda K
2952 - 2956 Growth of silicon oxide on hydrogenated silicon during lithography with an atomic force microscope
Marchi F, Bouchiat V, Dallaporta H, Safarov V, Tonneau D, Doppelt P
2957 - 2967 Plasma polymer films for 532 nm laser micromachining
Silverstein MS, Visoly I, Kesler O, Janai M, Cassuto Y
2968 - 2973 Nonstatistical degradation and development characteristics of poly(methyrmethacrylate) based resists during electron beam exposure
Uhl A, Bendig J, Leistner J, Jagdhold U, Bauch L, Bottcher M
2974 - 2976 Electrical conductivity measurement for quantitative evaluation of development speed of a photoresist
Takeda T, Saka M
2977 - 2981 Fabrication of submicron suspended structures by laser and atomic force microscopy lithography on aluminum combined with reactive ion etching
Boisen A, Birkelund K, Hansen O, Grey F
2982 - 2985 Submicrometer transmission mask fabricated by low-temperature SF6/O-2 reactive ion etching and focused ion beam
Sheng HY, Fujita D, Ohgi T, Okamoto H, Nejoh H
2986 - 2995 Cleaning of CHF3 plasma-etched SiO2/SiN/Cu via structures using a hydrogen plasma, an oxygen plasma, and hexafluoroacetylacetone vapors
Ueno K, Donnelly VM, Tsuchiya Y
2996 - 3002 Endpoint uniformity sensing and analysis in silicon dioxide plasma etching using in situ mass spectrometry
Chambers JJ, Min K, Parsons GN
3003 - 3007 BCl3/Ar reactive ion etching for gate recessing of GaInP/InGaAs/GaAs pseudomorphic high electron mobility transistors
Kuo CW, Su YK, Lin HH, Chin CY
3008 - 3012 Interaction between gas rarefaction and metal ionization in ionized physical vapor deposition
Rossnagel SM
3013 - 3014 Atomically flat gold film surfaces deposited on Si (111) surfaces at room temperature
Okamoto H, Nejo H
3015 - 3020 Correlation between the early stage of copper metal organic chemical vapor deposition and the material properties of thin film
Hwang ES, Lee J
3021 - 3026 Properties of sputtered Cr-O and reactively sputtered Cr-N-O as passivation layers against copper oxidation
Chuang JC, Chen MC
3027 - 3031 Role of V-shaped stacking faults in Au/n-type ZnMgSSe : Cl Schottky diodes
Wang CW
3032 - 3040 Interface characterization of Si3N4/Si/GaAs heterostructures after high temperature annealing
Park DG, Wang ZH, Morkoc H, Alterovitz SA, Smith DJ, Tsen SCY
3041 - 3047 Reduction of oxygen contamination in InGaP and AlGaInP films grown by solid source molecular beam epitaxy
Hoke WE, Lemonias PJ, Torabi A
3048 - 3054 Surface chemistry of II-VI semiconductor ZnSe studied by time of flight secondary ion mass spectrometry and x-ray photoelectron spectroscopy
Zhao J, Na MH, Lee EH, Chang HC, Gardella JA, Luo H
3055 - 3058 Evaluation of development speed of a photoresist by means of electrical conductivity measurement
Takeda T, Saka M
3059 - 3064 Investigation of Ta-RuO2 diffusion barrier for high density memory capacitor applications
Yoon DS, Baik HK, Lee SM, Park CS, Lee SI
3065 - 3068 Nonerratic behavior of overerased bits in flash EEPROM
Nkansah FD, Prinz E, Hatalis M
3069 - 3072 Vacuum properties of a new panel structure for field emission displays
Cho YR, Kim HS, Mun JD, Oh JY, Jeong HS, Ahn S
3073 - 3076 Effect of CH4 on the electron emission characteristics of active molybdenum field emitter arrays
Chalamala BR, Wallace RM, Gnade BE
3077 - 3081 Easy and reproducible method for making sharp tips of Pt/Ir
Lindahl J, Takanen T, Montelius L
3082 - 3085 Microelectron gun with silicon field emitter
Endo Y, Honjo I, Goto S
3086 - 3096 Self-heating effects in a InP/CdS/LaS cold cathode
Malhotra A, Modukuru Y, Cahay M
3097 - 3098 Experimental demonstration of the validity of accelerated radiation damage testing of x-ray mask materials
Acosta RE, Rippstein R
3099 - 3104 Sputtering rate change and surface roughening during oblique and normal incidence O-2(+) bombardment of silicon, with and without oxygen flooding
Magee CW, Mount GR, Smith SP, Herner B, Gossmann HJ
3105 - 3107 Ohmic contacts to p-type GaN using a Ni/Pt/Au metallization scheme
Jang JS, Park KH, Jang HK, Kim HG, Park SJ
3108 - 3111 Thermally induced interface degradation in (100) and (111) Si/SiO2 analyzed by electron spin resonance
Stesmans A, Afanas'ev VV
3112 - 3114 Enabling in situ atomic-scale characterization of epitaxial surfaces and interfaces
Smathers JB, Bullock DW, Ding Z, Salamo GJ, Thibado PM, Gerace B, Wirth W
3126 - 3126 Papers from the 42nd International Conference on Electron, Ion, and Photon Beam Technology and Nanofabrication - Preface
Marrian C
3127 - 3131 Atom Technology Project: Recent activities
Tanaka K
3132 - 3136 Nanofabrication in cellular engineering
Wilkinson CDW, Curtis ASG, Crossan J
3137 - 3141 Challenges and progress in x-ray lithography
Silverman JP
3142 - 3149 Extreme ultraviolet lithography
Gwyn CW, Stulen R, Sweeney D, Attwood D
3150 - 3153 Ion projection lithography: Status of the MEDEA project and United States European cooperation
Gross G, Kaesmaier R, Loschner H, Stengl G
3154 - 3157 Critical issues in 157 nm lithography
Bloomstein TM, Rothschild M, Kunz RR, Hardy DE, Goodman RB, Palmacci ST
3158 - 3163 Electron beam lithography process for advanced optical masks
Hayashi N, Kurihara M, Segawa T, Yokoyama T, Abe T
3164 - 3167 EL5: One tool for advanced x-ray and chrome on glass mask making
Sturans MA, Hartley JG, Pfeiffer HC, Dhaliwal RS, Groves TR, Pavick JW, Quickle RJ, Clement CS, Dick GJ, Enichen WA, Gordon MS, Kendall RA, Kostek CA, Pinckney DJ, Robinson CF, Rockrohr JD, Safran JM, Senesi JJ, Tressler EV
3168 - 3173 Distributed, multiple variable shaped electron beam column for high throughput maskless lithography
Groves TR, Kendall RA
3174 - 3176 Multiplexed blanker array for parallel electron beam lithography
Winograd GI, Han L, McCord MA, Pease RFW, Krishnamurthi V
3177 - 3180 High throughput electron lithography with the multiple aperture pixel by pixel enhancement of resolution concept
Kruit P
3181 - 3184 Experimental evaluation of a miniature electrostatic thin-foil electron optical column for high current and low-voltage operation
Winkler D, Bubeck CD, Fleischmann A, Knell G, Lutsch Y, Plies E
3185 - 3191 Micromachined ultrasharp silicon and diamond-coated silicon tip as a stable field-emission electron source and a scanning probe microscopy sensor with atomic sharpness
Rangelow IW, Shi F, Hudek P, Grabiec P, Volland B, Givargizov EI, Stepanova AN, Obolenskaya LN, Mashkova ES, Molchanov VA
3192 - 3196 Patterned negative electron affinity photocathodes for maskless electron beam lithography
Schneider JE, Sen P, Pickard DS, Winograd GI, McCord MA, Pease RFW, Spicer WE, Baum AW, Costello KA, Davis GA
3197 - 3201 Critical dimension control at stitched subfield boundaries in a high-throughput SCALPEL((R)) system
Stanton ST, Liddle JA, Waskiewicz WK, Novembre AE
3202 - 3205 Pattern dependent alignment technique for mix-and-match electron-beam lithography with optical lithography
Gotoh Y, Sohda Y, Saitou N, Tawa T, Matsuzaka T, Asai N, Hayano K, Hasegawa N
3206 - 3210 Electron-optical optimization for Gaussian, high-current, high-dose columns
Mankos M, Veneklasen LH, Garcia R, Pearce-Percy H
3211 - 3214 Simulation of Coulomb interactions in electron beam lithography systems - A comparison of theoretical models
Stickel W
3215 - 3220 Performance investigation of Coulomb interaction-limited high through put electron beam lithography based on empirical modeling
Han LQ, McCord MA, Winograd GI, Pease RFW
3221 - 3226 Rigorous simulation of statistical electron-electron interactions with fast multipole acceleration and a network of workstations
Wen VSH, Carmichael OT, Yamashita H, Neureuther AR
3227 - 3231 Mask electron modeling for Coulomb interaction by mask-scattered electrons in electron-beam projection lithography
Yamashita H, Wen VSH, Neureuther AR, Nomura E
3232 - 3236 Optical data storage in LiF using electron beam encoding
Caine EJ, Miller SD
3237 - 3240 Fabrication of electron beam generated, chirped, phase mask (1070.11-1070.66 nm) for fiber Bragg grating dispersion compensator
Tiberio RC, Carr DW, Rooks MJ, Mihailov SJ, Bilodeau F, Albert J, Stryckman D, Johnson DC, Hill KO, McClelland AW, Hughes BJ
3241 - 3247 Experimental verification of the TEMPTATION (temperature simulation) software tool
Babin S, Kuzmin IY
3248 - 3255 Lie algebraic aberration theory and calculation method for combined electron beam focusing-deflection systems
Hu KY, Tang TT
3256 - 3261 Characterizing GHOST proximity effect correction effectiveness by determining the worst-case error
Watson GP, Berger SD, Liddle JA
3262 - 3268 Accuracy and efficiency in election beam proximity effect correction
Wind SJ, Gerber PD, Rothuizen H
3269 - 3274 Adaptive selection of control points for improving accuracy and speed of proximity effect correction
Lee SY, Laddha J
3275 - 3278 Parallel and hierarchical postprocessing for variable spot electron beam lithography
Dick GJ, Ganong AS
3279 - 3283 Mask bias requirement for 0.13 mu m e-beam block exposure lithography
Takahashi K, Kanata H, Nara Y
3284 - 3288 Comparative study of AZPN114 and SAL601 chemically amplified resists for electron beam nanolithography
Cui Z, Gerardino A, Gentili M, DiFabrizio E, Prewett PD
3289 - 3293 Comparison of negative resists for 100 nm electron-beam direct write and mask making applications
Nordquist KJ, Resnick DJ, Ainley ES
3294 - 3297 Masked ion beam lithography with highly charged ions
Gillaspy JD, Parks DC, Ratliff LP
3298 - 3300 Electronic desorption of alkyl monolayers from silicon by very highly charged ions
Schenkel T, Schneider M, Hattass M, Newman MW, Barnes AV, Hamza AV, Schneider DH, Cicero RL, Chidsey CED
3301 - 3304 Red luminescence from a focused ion beam modified silicon surface
Erickson LE, Schmuki P, Lockwood DJ
3305 - 3310 In situ Ga+ focused ion beam definition of high current density resonant tunneling diodes
See P, Linfield EH, Arhone DD, Rose PD, Ritchie DA, Jones GAC
3311 - 3314 Study of precursor gases for focused ion beam insulator deposition
Edinger K, Melngailis J, Orloff J
3315 - 3321 Influence of edge roughness in resist patterns on etched patterns
Namatsu H, Nagase M, Yamaguchi T, Yamazaki K, Kurihara K
3322 - 3333 Dry development in an O-2/SO2 plasma for sub-0.18 mu m top layer imaging processes
Goethals AM, Van Roey F, Sugihara T, Van den Hove L, Vertommen J, Klippert W
3334 - 3338 Can dry-etching systems be designed for low damage ab initio?
Deng LG, Rahman M, Murad SK, Boyd A, Wilkinson CDW
3339 - 3343 Observation of the trajectories of particles in process equipment by an in situ monitoring system using a laser light scattering method
Ito N, Moriya T, Uesugi F, Doi H, Sakamoto S, Hayashi Y
3344 - 3348 Fabrication of Cu interconnects of 50 nm linewidth by electron-beam lithography and high-density plasma etching
Hsu Y, Standaert TEFM, Oehrlein GS, Kuan TS, Sayre E, Rose K, Lee KY, Rossnagel SM
3349 - 3353 Patterning of thin film NiMnSb using inductively coupled plasma etching
Hong J, Caballero JA, Lambers ES, Childress JR, Pearton SJ
3354 - 3358 Metallization-induced damage in III-V semiconductors
Chen CH, Hu EL, Schoenfeld WV, Petroff PM
3359 - 3363 Electrical and optical characteristics of etch induced damage in InGaAs
Berg EW, Pang SW
3364 - 3366 Kinetics of Si growth by an electron-beam-irradiation technique using a Si2H6 source
Hirose F, Sakamoto H
3367 - 3369 Plasma source for ion and electron beam lithography
Lee Y, Gough RA, Leung KN, Vujic J, Williams MD, Zahir N, Fallman W, Tockler M, Bruenger W
3370 - 3373 Characteristics of focused beam spots using negative ion beams from a compact surface plasma source and merits for new applications
Guharay SK, Sokolovsky E, Orloff J
3374 - 3379 Photoemission from gold thin films for application in multiphotocathode arrays for electron beam lithography
Jiang XR, Berglund CN, Bell AE, Mackie WA
3380 - 3384 Lifetime and reliability results for a negative electron affinity photocathode in a demountable vacuum system
Sen P, Pickard DS, Schneider JE, McCord MA, Pease RF, Baum AW, Costello KA
3385 - 3391 Electron scattering and transmission through SCALPEL masks
Mkrtchyan MM, Liddle JA, Novembre AE, Waskiewicz WK, Watson GP, Harriott LR, Muller DA
3392 - 3397 Imaging interferometric lithography: A wavelength division multiplex approach to extending optical lithography
Chen XL, Brueck SRJ
3398 - 3404 0.18 mu m KrF lithography using optical proximity correction based on empirical behavior modeling
Tritchkov A, Stirniman J, Gangala H, Ronse K
3405 - 3410 Influences of off-axis illumination on optical lens aberration
Smith BW, Petersen JS
3411 - 3414 Evaluation of a two-mask resolution enhancement technique
White DL, Wood OR
3415 - 3418 Investigation of new overlay measurement marks for optical lithography
Saito T, Watanabe H
3419 - 3421 Thermal annealing of deep ultraviolet (193 nm) induced compaction in fused silica
Fan P, Oldham WG, Haller EE
3422 - 3425 Light-coupling masks: An alternative, lensless approach to high-resolution optical contact lithography
Schmid H, Biebuyck H, Michel B, Martin OJF, Piller NB
3426 - 3429 Zone-plate-array lithography in the deep ultraviolet
Djomehri IJ, Savas TA, Smith HI
3430 - 3434 At-wavelength detection of extreme ultraviolet lithography mask blank defects
Jeong ST, Idir M, Lin Y, Johnson L, Rekawa S, Jones M, Denham P, Batson P, Levesque R, Kearney P, Yan PY, Gullikson E, Underwood JH, Bokor J
3435 - 3439 High-accuracy interferometry of extreme ultraviolet lithographic optical systems
Goldberg KA, Naulleau P, Lee S, Bresloff C, Henderson C, Attwood D, Bokor J
3440 - 3443 Thermal-mechanical performance of extreme ultraviolet lithographic reticles
Gianoulakis SE, Ray-Chaudhuri AK
3444 - 3448 Imaging properties of the extreme ultraviolet mask
Bollepalli BS, Khan M, Cerrina F
3449 - 3455 Rigorous simulation of mask corner effects in extreme ultraviolet lithography
Pistor TV, Adam K, Neureuther A
3456 - 3461 Aspheric collimator for a point source x-ray lithography system
Singh-Gasson S, Vladimirsky Y, Cerrina F
3462 - 3465 Design study of compact beam lines for x-ray lithography
Toyota E
3466 - 3470 Advanced synchrotron radiation stepper alignment system performance
Sentoku K, Matsumoto T
3471 - 3475 Nanometer scattered-light alignment system using SiC x-ray masks with low optical transparency
Miyatake T, Hirose M, Shoki T, Ohkubo R, Yamazaki K
3476 - 3479 Wafer chuck for magnification correction in x-ray lithography
Feldman M, Smith D
3480 - 3484 Mask membrane deflection caused by mask tilt during the wafer stepping motion in x-ray steppers
Uchida N
3485 - 3490 Overlay modeling for proximity x-ray lithography
Chen AC
3491 - 3494 Properties of sputtered TaReGe used as an x-ray mask absorber material
Yoshihara T, Kotsuji S, Fujii K, Tsuboi S, Suzuki K
3495 - 3499 Characteristics of Ta-based amorphous alloy film for x-ray mask absorbers
Iba Y, Kumasaka F, Iizuka T, Aoyama H, Yamabe M
3500 - 3503 Temperature gradients during absorber etching and their effect on x-ray mask patterning
Pendharkar SV, Resnick DJ, Laudon MF, Dauksher WJ, Mangat PJS, Seese PA, Cummings KD
3504 - 3508 Low-dose exposure technique for 100-nm-diam hole replication in x-ray lithography
Fujii K, Tanaka Y, Taguchi T, Yamabe M, Suzuki K, Gomei Y, Hisatsugu T
3509 - 3514 130 nm and 150 nm line-and-space critical-dimension control evaluation using XS-1 x-ray stepper
Tanaka Y, Taguchi T, Fujii K, Tsuboi S, Yamabe M, Suzuki K, Gomei Y, Hisatsugu T, Fukuda M, Morita H
3515 - 3520 Characterization of pattern geometrical effect on line end shortening in x-ray lithography
Yi M, Seo Y, Seo E, Yang J, Lee K, Choi BK, Kim O
3521 - 3525 Edge diffraction enhanced printability in x-ray nanolithography
Chen Y, Simon G, Haghiri-Gosnet AM, Carcenac F, Decanini D, Rousseaux F, Launois H
3526 - 3534 Recent developments in deep x-ray lithography
Ehrfeld W, Schmidt A
3535 - 3538 Surface photochemistry induced by x-ray irradiation
Rosenberg RA, Ma Q, Lai B, Mancini DC
3539 - 3542 Enhanced adhesion buffer layer for deep x-ray lithography using hard x rays
De Carlo F, Song JJ, Mancini DC
3543 - 3546 Adhesion promotion between poly(methylmethacrylate) and metallic surfaces for LiGA evaluated by shear stress measurements
Malek CGK, Das SS
3547 - 3551 Influence of developer temperature and resist material on the structure quality in deep x-ray lithography
Pantenburg FJ, Achenbach S, Mohr J
3552 - 3557 Metrology study of structural transfer accuracy in fabrication of high-aspect-ratio microelectromechanical systems: From optical mask to polished electroplated parts
Malek CK, Wood R, Dudley B, Genova P
3558 - 3562 Assessment of thermal loading-induced distortions in optical photomasks due to e-beam multipass patterning
Shamoun B, Engelstad R, Trost D
3563 - 3566 Aerial image slope and proximity effects on critical dimensions in mask lithography
Sagle A, Gesley M, Kao H, Innes R
3567 - 3571 Improving pattern placement using through-the-membrane signal monitoring
Perkins FK, Marrian CRK, Peckerar MC
3572 - 3576 Pattern transfer on mask membranes
Fisher AH, Engelstad RL, Lovell EG, Puisto DM
3577 - 3581 Etching processes and characteristics for the fabrication of refractory x-ray masks
Lercel MJ, Brooks CJ, Benoit DE, Surendra M
3582 - 3586 Commercialization of SCALPEL masks
Farrow RC, Novembre AE, Peabody M, Kasica R, Blakey M, Liddle JA, Werder K, DeMarco R, Ocola L, Rutberg L, Saunders T, Unruh J, Qian F, Smith M
3587 - 3591 Dynamic analysis of a SCALPEL mask during electron-beam exposure
Semke WH, Engelstad RL, Lovell EG, Liddle JA
3592 - 3598 p-n junction-based wafer flow process for stencil mask fabrication
Rangelow IW, Shi F, Volland B, Sossna E, Petrashenko A, Hudek P, Sunyk R, Butschke J, Letzkus F, Springer R, Ehrmann A, Gross G, Kaesmaier R, Oelmann A, Struck T, Unger G, Chalupka A, Haugeneder E, Lammer G, Loschner H, Tejeda R, Lovell E, Engelstad R
3599 - 3601 Fabrication of silicon stencil masks with vitreous carbon ion-absorbing coatings
Ruchhoeft P, Wolfe JC, Wasson J, Torres J, Wu H, Nounu H, Liu N, Herbordt M, Morgan MD, Tiberio RC
3602 - 3605 Optimization of the temperature distribution across stencil mask membranes under ion beam exposure
Kim B, Engelstad R, Lovell E, Chalupka A, Haugeneder E, Lammer G, Loschner H, Lutz J, Stengl G
3606 - 3611 Optically induced mask critical dimension error magnification in 248 nm lithography
Randall JN, Tritchkov A
3612 - 3617 Characteristics of plasma enhanced chemical vapor deposition-grown SiNx films prepared for deep ultraviolet attenuated phase-shifting masks
Chen HL, Wang LA, Hsu CW
3618 - 3624 Graphite-based x-ray masks for deep and ultradeep x-ray lithography
Coane P, Giasolli R, De Caro F, Mancini DC, Desta Y, Gottert J
3625 - 3630 Optical probing of flip chip packaged microprocessors
Paniccia M, Rao RM, Yee WM
3631 - 3636 Application of interferometric broadband imaging alignment on an experimental x-ray stepper
Moon EE, Lee J, Everett PN, Smith HI
3637 - 3641 Performance of adaptive alignment method on asymmetric signals
Chen X, Ghazanfarian AA, McCord MA, Pease RFW
3642 - 3646 Exploiting structure of wafer distortion in global alignment
Ghazanfarian AA, Chen X, McCord MA, Fabian R, Pease W, Nguyen K, Levinson H
3647 - 3650 Phase shift microscopes
Feldman M
3651 - 3654 Quantitation of latent resist images using photon tunneling microscopy
Liddle JA, Johnson JA, Cirelli R, Mkrtchyan MM, Novembre AE, Peabody ML
3655 - 3660 Economical sampling algorithm using Fourier analysis for mapping wafer critical dimension variations
Xu OY, Berglund CN, McCord MA, Pease RFW, Spence C, Liu HY
3661 - 3667 Evaluation of total uncertainty in the dimension measurements using critical-dimension measurement scanning electron microscopes
Mizuno F, Shimizu M, Sasada K, Mizuno T, Yamada S
3668 - 3671 Whole film inspection using an extended source
Aiyer A, Chau H
3672 - 3675 Scintillating global-fiducial grid for electron-beam lithography
Goodberlet J, Carter J, Smith HI
3676 - 3683 Resist processes for hybrid (electron-beam deep ultraviolet) lithography
Tedesco S, Mourier T, Dal'zotto B, McDougall A, Blanc-Coquant S, Quere Y, Paniez PJ, Mortini B
3684 - 3688 Negative electron-beam nanofabrication resist using acid-catalyzed protection of polyphenol provided by phenylcarbinol
Uchino S, Yamamoto J, Migitaka S, Kojima K, Hashimoto M, Shiraishi H
3689 - 3694 Deep-ultraviolet interferometric lithography as a tool for assessment of chemically amplified photoresist performance
Hinsberg W, Houle FA, Hoffnagle J, Sanchez M, Wallraff G, Morrison M, Frank S
3695 - 3700 Nanolithography in polymethylmethacrylate: An atomic force microscope study
Dobisz EA, Brandow SL, Bass R, Shirey LM
3701 - 3704 Copolymer approach to charge-dissipating electron-beam resists
Hupcey MAZ, Ober CK
3705 - 3708 Negative chemically amplified resist characterization for direct write and SCALPEL nanolithography
Ocola LE, Biddick CJ, Tennant DM, Waskiewicz WK, Novembre AE
3709 - 3715 Chemically amplified resist processing with top coats for deep-ultraviolet and e-beam applications
Petrillo K, Bucchignano J, Angelopoulos M, Cornett K, Brunsvold W
3716 - 3721 193 nm single layer resist strategies, concepts, and recent results
Nalamasu O, Houlihan FM, Cirelli RA, Timko AG, Watson GP, Hutton RS, Kometani JM, Reichmanis E, Gabor A, Medina A, Slater S
3722 - 3725 Top surface imaging process and materials development for 193 nm and extreme ultraviolet lithography
Rao V, Hutchinson J, Holl S, Langston J, Henderson C, Wheeler DR, Cardinale G, O'Connell D, Goldsmith J, Bohland J, Taylor G, Sinta R
3726 - 3729 Dissolution characteristics of chemically amplified 193 nm resists
Itani T, Yoshino H, Hashimoto S, Yamana M, Miyasaka M, Tanabe H
3730 - 3733 Single layer chemical vapor deposition photoresist for 193 nm deep ultraviolet photolithography
Nault M, Weidman T, Sugiarto D, Mui D, Lee C, Yang J
3734 - 3738 Resist design for resolution limit of KrF imaging towards 130 nm lithography
Azuma T, Kawamura D, Matsunaga K, Shiobara E, Tanaka S, Onishi Y
3739 - 3743 Reduction of line edge roughness in the top surface imaging process
Mori S, Morisawa T, Matsuzawa N, Kaimoto Y, Endo M, Matsuo T, Kuhara K, Sasago M
3744 - 3747 Pattern collapse in the top surface imaging process after dry development
Mori S, Morisawa T, Matsuzawa N, Kaimoto Y, Endo M, Matsuo T, Kuhara K, Sasago M
3748 - 3751 Process dependence of roughness in a positive-tone chemically amplified resist
He D, Cerrina F
3752 - 3758 Novel methodology for postexposure bake calibration and optimization based on electrical linewidth measurement and process metamodeling
Capodieci L, Subramanian R, Rangarajan B, Heavlin WD, Li JW, Bernard DA, Boksha VV
3759 - 3766 Real-time Fourier transform infrared spectroscopy study of the kinetics of acid-catalyzed negative-tone resists based on hexamethoxymethylmelamine and phenolic resins
Dentinger PM, Taylor JW
3767 - 3772 On-wafer photoacid determination and imaging technique for chemically amplified photoresists
Dentinger PM, Lu B, Taylor JW, Bukofsky SJ, Feke GD, Hessman D, Grober RD
3773 - 3778 Electron-beam nanolithography, acid diffusion, and chemical kinetics in SAL-601
Dobisz EA, Fedynyshyn TN, Ma D, Shirey LM, Bass R
3779 - 3783 Modeling solvent diffusion in photoresist
Mack CA, Mueller KE, Gardiner AB, Sagan JP, Dammel RR, Willson CG
3784 - 3788 Shot-noise and edge roughness effects in resists patterned at 10 nm exposure
Rau N, Stratton F, Fields C, Ogawa T, Neureuther A, Kubena R, Willson G
3789 - 3794 Coulomb blockade devices fabricated by liquid metal ion source droplet deposition
Vieu C, Pepin A, Gierak J, David C, Jin Y, Carcenac F, Launois H
3795 - 3799 Demonstration of a functional quantum-dot cellular automata cell
Amlani I, Orlov AO, Snider GL, Lent CS, Bernstein GH
3800 - 3803 Fabrication of self-aligned metallic Coulomb blockade devices on Si nanowires
Ford EM, Ahmed H
3804 - 3807 Direct patterning of single electron tunneling transistors by high resolution electron beam lithography on highly doped molecular beam epitaxy grown silicon films
Koester T, Goldschmidtboeing F, Hadam B, Stein J, Altmeyer S, Spangenberg B, Kurz H, Neumann R, Brunner K, Abstreiter G
3808 - 3811 Double electron layer tunneling transistors by dual-side electron beam lithography
Wendt JR, Simmons JA, Moon JS, Blount MA, Baca WE, Reno JL
3812 - 3816 Fabrication and characterization of buried subchannel implant n-metal-oxide-semiconductor transistors
Wang W, McCarthy D, Park D, Ma D, Marrian C, Peckerar M, Goldsman N, Melngailis J, Berry IL
3817 - 3820 Microdisk laser structures for mode control and directional emission
Backes SA, Cleaver JRA, Heberle AP, Kohler K
3821 - 3824 Measurement of nanomechanical resonant structures in single-crystal silicon
Carr DW, Sekaric L, Craighead HG
3825 - 3829 Large area high density quantized magnetic disks fabricated using nanoimprint lithography
Wu W, Cui B, Sun XY, Zhang W, Zhuang L, Kong LS, Chou SY
3830 - 3834 Fabrication of magnetic submicron-wire channels for the investigation of magnetization reversal
Chen Y, Kottler V, Carcenac F, Rene JF, Essaidi N, Chappert C, Launois H
3835 - 3840 Monolithic nanofluid sieving structures for DNA manipulation
Turner SW, Perez AM, Lopez A, Craighead HG
3841 - 3845 Using neutral atoms and standing light waves to form a calibration artifact for length metrology
Thywissen JH, Johnson KS, Dekker NH, Chu AP, Prentiss M
3846 - 3849 Sharp edged silicon structures generated using atom lithography with metastable helium atoms
Lu WJ, Baldwin KGH, Hoogerland MD, Buckman SJ, Senden TJ, Sheridan TE, Boswell RW
3850 - 3854 Absorptive masks of light: A useful tool for spatial probing in atom optics
Keller C, Abfalterer R, Bernet S, Oberthaler MK, Schmiedmayer J, Zeilinger A
3855 - 3858 Atomic beam holography for nanofabrication
Fujita J, Kisimoto T, Morinaga M, Matsui S, Shimizu F
3859 - 3863 Particle manipulation and surface patterning by laser guidance
Renn MJ, Pastel R
3864 - 3873 Electron beam and scanning probe lithography: A comparison
Wilder K, Quate CF, Singh B, Kyser DF
3874 - 3878 Approaches to nanofabrication on Si(100) surfaces: Selective area chemical vapor deposition of metals and selective chemisorption of organic molecules
Abeln GC, Hersam MC, Thompson DS, Hwang ST, Choi T, Moore JS, Lyding JW
3879 - 3882 Nanolithography of metal films using scanning force microscope patterned carbon masks
Muhl T, Bruckl H, Kraut D, Kretz J, Monch I, Reiss G
3883 - 3886 Modification of YBa2Cu3O7-delta wires using a scanning tunneling microscope: Process and electrical transport effects
Bertsche G, Clauss W, Prins FE, Kern DP
3887 - 3890 Fabrication of high-density nanostructures by electron beam lithography
Dial O, Cheng CC, Scherer A
3891 - 3893 Small aperture fabrication for single quantum dot spectroscopy
Park D, Marrian CRK, Gammon D, Bass R, Isaacson P, Snow E
3894 - 3898 25 nm pitch GaInAs/InP buried structure: Improvement by calixarene as an electron beam resist and tertiarybutylphosphine as a P source in organometallic vapor phase epitaxy regrowth
Miyamoto Y, Kokubo A, Hattori T, Hongo H, Suhara M, Furuya K
3899 - 3902 Focused ion-beam patterning of nanoscale ferroelectric capacitors
Stanishevsky A, Aggarwal S, Prakash AS, Melngailis J, Ramesh R
3903 - 3905 Artificial dielectric optical structures: A challenge for nanofabrication
Giaconia C, Torrini R, Murad SK, Wilkinson CDW
3906 - 3910 InGaAsP photonic band gap crystal membrane microresonators
Scherer A, Painter O, D'Urso B, Lee R, Yariv A
3911 - 3916 Nanoscale freestanding gratings for ultraviolet blocking filters
van Beek JTM, Fleming RC, Hindle PS, Prentiss JD, Schattenburg ML, Ritzau S
3917 - 3921 Problems of the nanoimprinting technique for nanometer scale pattern definition
Scheer HC, Schulz H, Hoffmann T, Torres CMS
3922 - 3925 Multilayer resist methods for nanoimprint lithography on nonflat surfaces
Sun XY, Zhuang L, Zhang W, Chou SY
3926 - 3928 Roller nanoimprint lithography
Tan H, Gilbertson A, Chou SY
3929 - 3933 Nanolithography using wet etched silicon nitride phase mass
Alkaisi MM, Blaikie RJ, McNab SJ
3934 - 3937 Regular array of Si nanopillars fabricated using metal clusters
Tada T, Kanayama T
3938 - 3942 Oxidation properties of silicon dots on silicon oxide investigated using energy filtering transmission electron microscopy
Single C, Zhou F, Heidemeyer H, Prins FE, Kern DP, Plies E
3943 - 3947 Fabrication of metallic point contacts: A new approach for devices with a multilayer or a heterointerface
Gribov NN, Theeuwen SJCH, Caro J, van der Drift E, Tichelaar FD, de Kruijff TR, Hickey BJ
3948 - 3953 Fabrication of multipurpose piezoresistive Wheatstone bridge cantilevers with conductive microtips for electrostatic and scanning capacitance microscopy
Gotszalk T, Radojewski J, Grabiec PB, Dumania P, Shi F, Hudek P, Rangelow IW